elektrik port üyelik servisleri elektrik port üyelik servisleri

VHDL Programlama 1. Bölüm |
ElektrikPort Akademi

Very High Speed Integrated Circuit Hardware Description Language tanımlamasının baş harflerinden oluşan VHDL, donanım tanımlama dilidir. Aynı zamanda eş zamanlı(paralel) programlama dili olarak da bilinir. VHDL'nin tüm ayrıntılarını yazımızda bulabilirsiniz.



A- A+
19.09.2016 tarihli yazı 25763 kez okunmuştur.
VHDL, Birleşik Devletler Savunma Departmanı tarafından 1980 yılında yüksek kapasiteli bir donanım dili olarak üretildi. IEEE (Institute of Electrical and Electronics Engineers standard 1076) ve Birleşik Devletler Silahlı Kuvvetleri tarafından standart bir programlama dili olarak kabul edildi. VHDL , lojik devrelerin dokümantasyonu ve modellenmesi için tasarlandı. Daha sonra sistem davranışını tanımlamak ve simulasyonunu yapmak için geliştirildi.


 

VHDL’in diğer programlama dillerine göre avantajları

► Fonksiyonlar yüksek seviyede kontol edilebilir.
► Yazılan kodlar diğer diller gibi yukarıdan aşağı değil eş zamanlı yani paralel olarak çalışır.
► İşlevselliği daha fazladır.
► Kolay ve hızlı şekilde simule edilebilir.
► RTL (Register-Transfer Level) sayesinde kod dizininde ne yapıldığı görelebilir.
► Diğer devre elemanlarına hükmetmek daha kolaydır ve yapılması zor işler VHDL sayesinde yapılır.
► Ürünün hızlı çıkmasını sağlar.
► Tasarım kalitesi artar.
 

VHDL’in akış diyagramı


 

VHDL Yazım Kuralları

► Diğer dillerde olduğu gibi satır sonları noktalı virgül ile bitirilir.
► Büyük küçük harf duyarlılığı yoktur.
► Boş satırlar herhangi bir anlam içermez.
► Diğer dillerde atama işlemi “=” iken VHDL de “<=” şeklindedir.
► Değişken türünüzün tipi çok önemlidir. Örneğin;
A<= 10;--  İNTEGER
B<=’1’;-- BİT
C<=”0100”;-- BİT_VEKTÖR
Görüldüğü gibi üç ayrı türünde atama şekli farklıdır.

► "- - " şeklinde iki çizgi yanyana konularak yorum satırı eklenebilir.
► VHDL’in kendisine ait if, while, with, and gibi kodları vardır ve diğer dillere göre ufak farkları vardır.
 
►İlginizi Çekebilir: Assembly Programlama Dili | 1. Bölüm
 

VHDL Tasarım Bölümleri

Entity (Giriş):
Devrenin giriş çıkış portlarının tanımlandığı bölümdür.


şeklinde giriş çıkış portları tanımlanabilir. Tanımlanan portlar arasındaki ilişkiyi vermez.
 
Architecture (Mimari):

Devrenin fonksiyonlarını (işleyişin) tanımlandığı bölümdür.



Packageand Library (Paketler ve Kütüphane):

IEEE’nin standart kütüphanesi eklendiği daha sonra fonksiyon tiplerinin, operatörlerin, fonksiyonların çağrıldığı bölümdür.



Testbench:

Kod yazma işlemi bittikten sonra sayesinde simulasyonun yapıldığı bölümdür. Yazılan kodların istenilen şekilde çalışıp çalışmadığı kontrol edilir.


 
Kodlaması bitmiş bir projenin testbench’i
 
►İlginizi Çekebilir: MikroC ile C Programlama Dersleri

VHDL, diğer programlama dillerine göre öğrenmesi zor ve zaman gerektiren programlama dilidir. Yeni başlayanlar için kod dizinin paralel çalışması mantığı biraz zor gözükebilir. Diğer programlama dillerinde tek satırda yapılan işlemler bazen VHDL de birden çok kod satırana denk gelebilir. Günümüzde savunma sanayisinde, otomasyonun olduğu her işte ve benzeri çalışma alanlarında tercih edilir. Kullanıldığı platformlarla birlikte iş yükünü azaltır. PIC ile yapılması daha zor işler kolayca yapılır. Milyonlarca lojik kapıları boş bir sayfa olarak düşünürsek VHDL ile bu boş sayfayı istediğiniz gibi şekillendirmenize olanak sağlar. VHDL’in sağladığı avantajlar çekilen zorluklara ve harcanılan zamana değecektir.


Kaynak:


MIT- Circuit Design with VHDL

Yazar: Samet Özgül

Aktif etkinlik bulunmamaktadır.
ANKET
Endüstri 4.0 için En Hazır Sektör Hangisidir

Sonuçlar